From cb5d6ed197b4feed4c3e7a5c140affbcddf4b0c2 Mon Sep 17 00:00:00 2001 From: bors Date: Tue, 16 Apr 2024 08:36:38 +0000 Subject: [PATCH] Delete crate `allot_codegen` --- al/lo/allot_codegen | 2 -- 1 file changed, 2 deletions(-) delete mode 100644 al/lo/allot_codegen diff --git a/al/lo/allot_codegen b/al/lo/allot_codegen deleted file mode 100644 index 10ed08124e4..00000000000 --- a/al/lo/allot_codegen +++ /dev/null @@ -1,2 +0,0 @@ -{"name":"allot_codegen","vers":"0.0.2-alpha","deps":[{"name":"num_enum","req":"^0.5.7","features":[],"optional":false,"default_features":true,"target":null,"kind":"dev"},{"name":"proc-macro2","req":"^1.0.47","features":[],"optional":false,"default_features":true,"target":null,"kind":"normal"},{"name":"quote","req":"^1.0.21","features":[],"optional":false,"default_features":true,"target":null,"kind":"normal"},{"name":"syn","req":"^1.0.103","features":[],"optional":false,"default_features":true,"target":null,"kind":"normal"}],"cksum":"2b2c0fcabf620684626f5492ceb3cbc08c1e94827d7ba1bb8dda397aa9ba24e3","features":{},"yanked":true} -{"name":"allot_codegen","vers":"0.0.3-alpha","deps":[],"cksum":"67f57db952a9dd82fdd1b603675c67f4f2f1fc967b4a960e21257c0cce93d84f","features":{},"yanked":false}