From 026637396e1d54c8f87d91b5460451b51a7bb1eb Mon Sep 17 00:00:00 2001 From: bors Date: Tue, 9 Apr 2024 20:07:33 +0000 Subject: [PATCH] Update crate `vhdl_lang_macros` --- vh/dl/vhdl_lang_macros | 1 + 1 file changed, 1 insertion(+) diff --git a/vh/dl/vhdl_lang_macros b/vh/dl/vhdl_lang_macros index bb44de46267..9fe524e440d 100644 --- a/vh/dl/vhdl_lang_macros +++ b/vh/dl/vhdl_lang_macros @@ -5,3 +5,4 @@ {"name":"vhdl_lang_macros","vers":"0.78.0","deps":[{"name":"quote","req":"^1","features":[],"optional":false,"default_features":true,"target":null,"kind":"normal"},{"name":"syn","req":"^2","features":["full"],"optional":false,"default_features":true,"target":null,"kind":"normal"}],"cksum":"685cfc9766af2b1f8e1fb304f3de13be6219844d3e2fe6401217ede9506e74d5","features":{},"yanked":false} {"name":"vhdl_lang_macros","vers":"0.78.1","deps":[{"name":"quote","req":"^1","features":[],"optional":false,"default_features":true,"target":null,"kind":"normal"},{"name":"syn","req":"^2","features":["full"],"optional":false,"default_features":true,"target":null,"kind":"normal"}],"cksum":"3606f653b19af26b67b022dd35018da0821566c9523907e6371ad802e037df6a","features":{},"yanked":false} {"name":"vhdl_lang_macros","vers":"0.78.2","deps":[{"name":"quote","req":"^1","features":[],"optional":false,"default_features":true,"target":null,"kind":"normal"},{"name":"syn","req":"^2","features":["full"],"optional":false,"default_features":true,"target":null,"kind":"normal"}],"cksum":"29854cc8c0ad0732b0c37121f274e4221c9b37682252f0d61269f0b12a630b14","features":{},"yanked":false} +{"name":"vhdl_lang_macros","vers":"0.79.0","deps":[{"name":"quote","req":"^1","features":[],"optional":false,"default_features":true,"target":null,"kind":"normal"},{"name":"syn","req":"^2","features":["full"],"optional":false,"default_features":true,"target":null,"kind":"normal"}],"cksum":"913a96dffaf0639809bbdc5a28c3232f27b0d6385aa95050e8b6a1afd1362a70","features":{},"yanked":false}